lkml.org 
[lkml]   [2015]   [Apr]   [23]   [last100]   RSS Feed
Views: [wrap][no wrap]   [headers]  [forward] 
 
Messages in this thread
/
From
SubjectRe: [PATCH 6/6] crypto: add jitterentropy RNG
Date
Am Donnerstag, 23. April 2015, 16:18:31 schrieb Paul Bolle:

Hi Paul,

> On Thu, 2015-04-23 at 16:08 +0200, Stephan Mueller wrote:
> > Other patches that are in the kernel that I wrote (e.g.
> > the crypto/drbg.c) have the same license as above, but use
> > MODULE_LICENSE("GPL") -- Thus I would think that leaving it as is should
> > be
> > ok.
>
> Why not submit the trivial patches to make the license comment and the
> MODULE_LICENSE() macro match in those files too?

Well, ok, I can do that.

I will wait for further comments on the patch set before I resubmit the patch
set with the license change as suggested.
>
> Thanks,
>
>
> Paul Bolle


--
Ciao
Stephan


\
 
 \ /
  Last update: 2015-04-23 17:01    [W:0.067 / U:0.624 seconds]
©2003-2020 Jasper Spaans|hosted at Digital Ocean and TransIP|Read the blog|Advertise on this site